CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - fpga dds verilog

搜索资源列表

  1. dds_using_FPGA

    0下载:
  2. verilog编写基于fpga的DDS实现
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:448910
    • 提供者:宇天
  1. 四相载波发生器

    0下载:
  2. 本代码采用Altera公司的FPGA为主控芯片,以开发软件QuartusⅡ为工具,采用EDA设计中的自顶向下与层次式设计方法,使用精简的DDS算法完成了输入为14MHz,输出四路频率为70MHz的四相序正弦载波(相位分别为0°、90°、180°、270°)的设计。还完成了输入为14MHz,输出为70MHz的四相序方波载波(相位分别为0°、90°、180°、270°)的设计。利用Verilog HDL语言进行了程序设计并用QuartusⅡ对设计进行了仿真,验证了其正确性。
  3. 所属分类:源码下载

  1. d_e_g_dds

    0下载:
  2. 基于Verilog HDL的迟早门码元同步方案中的DDS程序,已经仿真通过,可以在FPGA开发板上实现。迟-早门方式实现码元同步在无线通信中有着广泛应用。来自华中科大。-Early-later gate of Verilog HDL-based symbol synchronization scheme in the DDS program, has been through simulation, can be achieved in the FPGA development board. F
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1262511
    • 提供者:ye
  1. DDS_Timing

    0下载:
  2. 数字频率合成器DDS,具有和单片机接口的直接数字频率合成器的FPGA实现代码(Verilog)-Digital Frequency Synthesizer
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:94975
    • 提供者:胡文静
  1. DDS_Adder

    0下载:
  2. DDS加法程序,用verilog程序写成,在FPGA的中实现-DDS addition procedures, written with verilog program, implemented in the FPGA' s
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:4844
    • 提供者:胡浩
  1. DDS_single

    0下载:
  2. 基于FPGA的单路DDS函数发生器的实现 ,语言为Verilog-FPGA-based single-channel DDS function generator implementation language for Verilog
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2014-12-07
    • 文件大小:26933248
    • 提供者:Filter
  1. sixiangzaibosheji

    0下载:
  2. 本代码采用Altera公司的FPGA为主控芯片,以开发软件QuartusⅡ为工具。采用EDA设计中的自顶向下与层次式设计方法使用精简的DDS算法完成了输入为14MHz,输出四路频率为70MHz的四相序正弦载波(相位分别为0°、90°、180°、270°)的设计。利用Verilog HDL语言进行了程序设计并用QuartusⅡ对设计进行了仿真,验证了其正确性。-DDS algorithm with simplified input for the completion of 14MHz, 70M
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-06
    • 文件大小:5276
    • 提供者:biyuming
  1. DDS_sine

    0下载:
  2. DDS扫频信号源的FPGA实现,有的是verilog编写,欢迎下载-Sweep frequency signal source of DDS FPGA realizing, have a plenty of verilog write, welcome to download
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:11177329
    • 提供者:jin
  1. dds1

    0下载:
  2. 用ALTERA 公司的fpga芯片,编程语言是VerilogHDL,实现DDS数字信号发生器,可以产生正弦信号,三角信号,矩形信号。-ALTERA company fpga chip, programming languages, Verilog HDL, to achieve the DDS digital signal generator, can generate sine signal, triangle signal, rectangular signal
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1577200
    • 提供者:郭晨
  1. verilog_dds

    0下载:
  2. verilog实现dds,用于FPGA产生正弦波,适用于Cyclone 2系列-verilog achieve dds, FPGA is used to generate the sine wave, in the Cyclone Series
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-06-09
    • 文件大小:16790004
    • 提供者:sunlin
  1. dds_project

    0下载:
  2. DDS直接数字频率合成器,能产生正弦波,方波,锯齿波,三角波四种波形,同时能在12864上显示波形类型和频率,用FPGA verilog实现的-DDS direct digital frequency synthesizer can produce sine, square wave, sawtooth wave, triangle wave four waveform, while in the 12864 on display the waveform type and frequency
  3. 所属分类:software engineering

    • 发布日期:2017-06-20
    • 文件大小:31589376
    • 提供者:邹雪峰
  1. ad9850

    2下载:
  2. 介绍了用FPGA控制DDS产生任意频率范围之内的可调制正弦波,13位BPSK,ASK等。控制字由串口写入。-verilog control AD9850 to get psk ask
  3. 所属分类:VHDL编程

    • 发布日期:2013-11-08
    • 文件大小:1415348
    • 提供者:chen
  1. signal-generator

    0下载:
  2. Design of DDS signal generator based on VHDL+FPGA, has been through the adjustable, can be directly used, simulation -DDS signal generator circuit design, Verilog source code, can be directly used, simulation
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-11-08
    • 文件大小:2070174
    • 提供者:李静璐
  1. sss

    1下载:
  2. 使用Verilog语言编写源代码.调用一些基本的IP核,如DCM模块、DDS模块ChipScope模块、乘法器模块等来实现调制.最后通过编程并利用FPGA板子实现AM、DBS、SSB的调制。-Using Verilog language source code. Invoke some basic IP cores, such as DCM module, DDS module ChipScope modules, multiplier module to achieve modulation.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2015-01-16
    • 文件大小:1825792
    • 提供者:Blus
  1. Codes-and-Reports

    0下载:
  2. Verilog Source code for arbitrary waveform generator- simple DDS algorithm codes run on Xilinx Spartan-3E fpga to show output on dac pin. Please see the included report. its really simple to implement. all source code is given.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-28
    • 文件大小:10628885
    • 提供者:imranity
  1. QAM_verilog

    0下载:
  2. 基于FPGA的16QAM,用verilog编写,其中DDS为自己编写,含设计文件和testbench。已通过moldesim软件仿真。 -FPGA-based 16QAM, with verilog writing, including DDS for their preparation, including design files and testbench. Simulation software has been through moldesim.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-14
    • 文件大小:3699
    • 提供者:饶黎
  1. DDDDDDDDDSSS

    0下载:
  2. FPGA实现DDS正弦波、方波、三角波发生器Verilog程序(已验证)Quartus工程文件-FPGA realization DDS sine, square, triangle wave generator Verilog program (verified) Quartus Project Files
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-13
    • 文件大小:2844804
    • 提供者:wangjiali
  1. vftvdr

    0下载:
  2. 基于FPGA的DDS信号发生器设计,包含Quartus 的工程,打开即可使用,Verilog 语言编写!-The DDS signal generator based on FPGA design, including the Quartus project, open to use, Verilog language! 朗读 显示对应的拉丁字符的拼音 字典- 查看字典详细内容-FPGA design, including the Quartus project, open to use, Ve
  3. 所属分类:assembly language

    • 发布日期:2017-04-30
    • 文件大小:282602
    • 提供者:熊健友
« 1 2 3 4»
搜珍网 www.dssz.com